当前位置: 首页 > news >正文

3.3 学习UVM中的uvm_driver 类分为几步?

文章目录

  • 前言
  • 1. 定义
  • 2. 核心功能
  • 3. 适用场景
  • 4. 使用方法
  • 5. 完整代码示例
    • 5.1 事务类定义
    • 5.2 Driver 类定义
    • 5.3 Sequencer 类定义
    • 5.4 测试平台
  • 6. 代码说明
  • 7. 总结


前言


以下是关于 UVM 中 uvm_driver 的详细解释、核心功能、适用场景、使用方法以及一个完整的代码示例:


1. 定义

uvm_driver 是 UVM(Universal Verification Methodology)中的一个重要组件类,用于驱动事务(transaction)到设计(DUT)的接口。它通常与 uvm_sequencer 配合使用,从 uvm_sequencer 获取事务并将其转换为信号级别的激励,施加到 DUT 的接口上。

uvm_driver 的主要特点:

  • uvm_sequencer 获取事务。
  • 将事务转换为信号级别的激励。
  • 是 UVM 测试平台中与 DUT 交互的关键组件。

2. 核心功能

uvm_driver 提供了以下核心功能:

  • 事务获取:通过 get_next_item()try_next_item() 方法从 uvm_sequencer 获取事务。
  • 事务处理:将事务转换为信号级别的激励,并驱动到 DUT 的接口。
  • 事务完成:通过 item_done() 方法通知 uvm_sequencer 当前事务处理完成。
  • 与 Sequencer 的通信:管理与 uvm_sequencer 的通信,确保事务的顺序和同步。

3. 适用场景

uvm_driver 通常用于以下场景:

  • 接口驱动:用于驱动 DUT 的输入接口(如 AXI、APB、SPI 等)。
  • 协议实现:实现特定的总线协议或通信协议。
  • 激励生成:将高层次的事务转换为低层次的信号激励。

4. 使用方法

使用 uvm_driver 的步骤如下:

  1. 定义类:从 uvm_driver 派生一个类,并定义其属性和方法。
  2. 实现 run_phase:在 run_phase 中实现事务的获取、处理和完成逻辑。
  3. 与 Sequencer 连接:在测试平台中将 uvm_driveruvm_sequencer 连接。
  4. 驱动事务:在 run_phase 中将事务转换为信号激励并驱动到 DUT。

5. 完整代码示例

以下是一个完整的代码示例,展示如何使用 uvm_driver 驱动事务到 DUT 的接口。

5.1 事务类定义

// 定义一个从 uvm_sequence_item 派生的事务类
class my_transaction extends uvm_sequence_item;rand bit [7:0] data;rand bit [3:0] addr;bit           valid;// 注册类到 UVM 工厂`uvm_object_utils(my_transaction)// 构造函数function new(string name = "my_transaction");super.new(name);endfunction// 实现 print 方法virtual function void do_print(uvm_printer printer);printer.print_field("data",  this.data,  8);printer.print_field("addr",  this.addr,  4);printer.print_field("valid", this.valid, 1);endfunctionendclass

5.2 Driver 类定义

// 定义一个从 uvm_driver 派生的驱动类
class my_driver extends uvm_driver #(my_transaction);// 注册类到 UVM 工厂`uvm_component_utils(my_driver)// 构造函数function new(string name, uvm_component parent);super.new(name, parent);endfunction// 实现 run_phasevirtual task run_phase(uvm_phase phase);forever begin// 从 sequencer 获取事务seq_item_port.get_next_item(req);// 打印事务`uvm_info("DRIVER", $sformatf("Driving transaction: data=0x%0h, addr=0x%0h, valid=%b", req.data, req.addr, req.valid), UVM_LOW)// 将事务转换为信号激励(这里用延时模拟驱动过程)#10;// 通知 sequencer 事务处理完成seq_item_port.item_done();endendtaskendclass

5.3 Sequencer 类定义

// 定义一个从 uvm_sequencer 派生的 sequencer 类
class my_sequencer extends uvm_sequencer #(my_transaction);// 注册类到 UVM 工厂`uvm_component_utils(my_sequencer)// 构造函数function new(string name, uvm_component parent);super.new(name, parent);endfunctionendclass

5.4 测试平台

// 测试平台
module testbench;initial begin// 创建 env 类class my_env extends uvm_env;my_driver    driver;my_sequencer sequencer;// 注册类到 UVM 工厂`uvm_component_utils(my_env)// 构造函数function new(string name, uvm_component parent);super.new(name, parent);endfunction// 构建组件virtual function void build_phase(uvm_phase phase);driver    = my_driver::type_id::create("driver", this);sequencer = my_sequencer::type_id::create("sequencer", this);endfunction// 连接组件virtual function void connect_phase(uvm_phase phase);driver.seq_item_port.connect(sequencer.seq_item_export);endfunctionendclass// 创建测试类class my_test extends uvm_test;my_env env;// 注册类到 UVM 工厂`uvm_component_utils(my_test)// 构造函数function new(string name, uvm_component parent);super.new(name, parent);endfunction// 构建组件virtual function void build_phase(uvm_phase phase);env = my_env::type_id::create("env", this);endfunction// 运行测试virtual task run_phase(uvm_phase phase);phase.raise_objection(this);#100; // 模拟测试运行时间phase.drop_objection(this);endtaskendclass// 启动测试initial beginrun_test("my_test");endend
endmodule

6. 代码说明

  • 事务类my_transaction 定义了事务的属性和方法。
  • Driver 类my_driveruvm_driver 派生,实现了事务的获取、处理和完成逻辑。
  • Sequencer 类my_sequencer 用于生成和管理事务。
  • 测试平台my_envmy_test 类用于构建和运行测试环境。

7. 总结

uvm_driver 是 UVM 中用于驱动事务到 DUT 的关键组件。它与 uvm_sequencer 配合使用,负责将高层次的事务转换为低层次的信号激励。以上示例展示了如何定义和使用 uvm_driver,适用于实际的验证场景。


http://www.mrgr.cn/news/90349.html

相关文章:

  • Svelte前端框架
  • XML Schema 限定 / Facets
  • Ollama+DeepSeek-R1 部署
  • 51单片机之使用Keil uVision5创建工程以及使用stc-isp进行程序烧录步骤
  • RbFT:针对RAG中检索缺陷的鲁棒性微调
  • 安装指定版本的pnpm
  • GMS认证相关问题汇总
  • Docker使用指南与Dockerfile文件详解:从入门到实战
  • ESP32S3基于espidf移植I2C SSD1306/sh1106 WouoUIPage磁贴案例
  • 【Qt之·类QTextCursor】
  • 32单片机学习记录1之GPIO
  • 深度学习入门--python入门1
  • flink cdc2.2.1同步postgresql表
  • Python自动化办公之批量重命名
  • RockyLinux AlmaLinux RedHat 8,9安装图形化
  • Python自动化办公之Excel拆分
  • 单纯的DeepSeek讲解
  • 泰山派开发板测试,仅记录
  • MIPI 详解:C-PHY
  • QT 5.15.2 开发地图ArcGIS 100.15.6(ArcGIS Runtime SDK for Qt)
  • 【Bug】属性 PackageVersion 应在所有目标框架中具有单个值,但却具有以下值
  • 电气间隙和爬电距离 | 规则和计算 / 影响因素 / 常见错误
  • 无人机图像拼接数据的可视化与制图技术:以植被监测为例
  • C++14 新特性解析
  • RoboGrasp:一种用于稳健机器人控制的通用抓取策略
  • 如何利用DeepSeek开源模型打造OA系统专属AI助手